Sciweavers

21 search results - page 4 / 5
» Practical Design of Globally-Asynchronous Locally-Synchronou...
Sort
View
DAC
2007
ACM
14 years 6 months ago
Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip
Due to high levels of integration and complexity, the design of multi-core SoCs has become increasingly challenging. In particular, energy consumption and distributing a single gl...
Ümit Y. Ogras, Diana Marculescu, Puru Choudha...
MEMOCODE
2008
IEEE
13 years 11 months ago
Virtual prototyping AADL architectures in a polychronous model of computation
While synchrony and asynchrony are two distinct concepts of concurrency theory, effective and formally defined embedded system design methodologies usually mix the best from both...
Ma Yue, Jean-Pierre Talpin, Thierry Gautier
ASYNC
2002
IEEE
150views Hardware» more  ASYNC 2002»
13 years 10 months ago
Clock Synchronization through Handshake Signalling
We present a method for synchronizing pausible clocks in GALS (Globally Asynchronous, Locally Synchronous) systems. In contrast to most conventional GALS schemes the method is not...
Joep L. W. Kessels, Suk-Jin Kim, Ad M. G. Peeters,...
TVLSI
2010
12 years 12 months ago
A Low-Area Multi-Link Interconnect Architecture for GALS Chip Multiprocessors
A new inter-processor communication architecture for chip multiprocessors is proposed which has a low area cost, flexible routing capability, and supports globally asynchronous loc...
Zhiyi Yu, Bevan M. Baas
SAC
2003
ACM
13 years 10 months ago
TinyGALS: A Programming Model for Event-Driven Embedded Systems
Networked embedded systems such as wireless sensor networks are usually designed to be event-driven so that they are reactive and power efficient. Programming embedded systems wit...
Elaine Cheong, Judith Liebman, Jie Liu, Feng Zhao