Sciweavers

4 search results - page 1 / 1
» RAPPID: An Asynchronous Instruction Length Decoder
Sort
View
ASYNC
1999
IEEE
100views Hardware» more  ASYNC 1999»
13 years 9 months ago
RAPPID: An Asynchronous Instruction Length Decoder
This paper describes an investigation of potential advantages and risks of applying an aggressive asynchronous design methodology to Intel Architecture. RAPPID ("Revolving As...
Shai Rotem, Ken S. Stevens, Charles Dike, Marly Ro...
DAC
1999
ACM
14 years 6 months ago
CAD Directions for High Performance Asynchronous Circuits
This paper describes a novel methodology for high performance asynchronous design based on timed circuits and on CAD support for their synthesis using Relative Timing. This method...
Ken S. Stevens, Shai Rotem, Steven M. Burns, Jordi...
ICCAD
1999
IEEE
84views Hardware» more  ICCAD 1999»
13 years 9 months ago
Synthesis of asynchronous control circuits with automatically generated relative timing assumptions
This paper describes a method of synthesis of asynchronous circuits with relative timing. Asynchronous communication between gates and modules typically utilizes handshakes to ens...
Jordi Cortadella, Michael Kishinevsky, Steven M. B...
ASPDAC
2001
ACM
73views Hardware» more  ASPDAC 2001»
13 years 9 months ago
Timed circuits: a new paradigm for high-speed design
Abstract-- In order to continue to produce circuits of increasing speeds, designers must consider aggressive circuit design styles such as self-resetting or delayed-reset domino ci...
Chris J. Myers, Wendy Belluomini, Kip Kallpack, Er...