Sciweavers

21 search results - page 2 / 5
» Scheduler-based DRAM energy management
Sort
View
ISCA
2012
IEEE
234views Hardware» more  ISCA 2012»
11 years 7 months ago
PARDIS: A programmable memory controller for the DDRx interfacing standards
Modern memory controllers employ sophisticated address mapping, command scheduling, and power management optimizations to alleviate the adverse effects of DRAM timing and resource...
Mahdi Nazm Bojnordi, Engin Ipek
SIGMETRICS
2012
ACM
347views Hardware» more  SIGMETRICS 2012»
11 years 7 months ago
Temperature management in data centers: why some (might) like it hot
The energy consumed by data centers is starting to make up a significant fraction of the world’s energy consumption and carbon emissions. A large fraction of the consumed energ...
Nosayba El-Sayed, Ioan A. Stefanovici, George Amvr...
DAC
2009
ACM
14 years 6 months ago
PDRAM:a hybrid PRAM and DRAM main memory system
In this paper, we propose PDRAM, a novel energy efficient main memory architecture based on phase change random access memory (PRAM) and DRAM. The paper explores the challenges in...
Gaurav Dhiman, Raid Ayoub, Tajana Rosing
DAC
2009
ACM
14 years 3 days ago
PDRAM: a hybrid PRAM and DRAM main memory system
In this paper, we propose PDRAM, a novel energy efficient main memory architecture based on phase change random access memory (PRAM) and DRAM. The paper explores the challenges i...
Gaurav Dhiman, Raid Ayoub, Tajana Rosing
RTS
2011
131views more  RTS 2011»
13 years 8 days ago
Global scheduling based reliability-aware power management for multiprocessor real-time systems
Reliability-aware power management (RAPM) has been a recent research focus due the negative effects of the popular power management technique dynamic voltage and frequency scaling ...
Xuan Qi, Dakai Zhu, Hakan Aydin