Sciweavers

39 search results - page 1 / 8
» Software thermal management of dram memory for multicore sys...
Sort
View
SIGMETRICS
2008
ACM
179views Hardware» more  SIGMETRICS 2008»
13 years 4 months ago
Software thermal management of dram memory for multicore systems
Thermal management of DRAM memory has become a critical issue for server systems. We have done, to our best knowledge, the first study of software thermal management for memory su...
Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Eugene Go...
ISLPED
2009
ACM
211views Hardware» more  ISLPED 2009»
13 years 11 months ago
PPT: joint performance/power/thermal management of DRAM memory for multi-core systems
With the popularity of multi-core architecture, to sustain the memory demands from different cores, the memory system is expected to grow significantly in both speed and capacit...
Chung-Hsiang Lin, Chia-Lin Yang, Ku-Jei King
ISCA
2007
IEEE
113views Hardware» more  ISCA 2007»
13 years 11 months ago
Thermal modeling and management of DRAM memory systems
With increasing speed and power density, high-performance memories, including FB-DIMM (Fully Buffered DIMM) and DDR2 DRAM, now begin to require dynamic thermal management (DTM) a...
Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Howard Da...
ASPLOS
2010
ACM
13 years 7 months ago
Micro-pages: increasing DRAM efficiency with locality-aware data placement
Power consumption and DRAM latencies are serious concerns in modern chip-multiprocessor (CMP or multi-core) based compute systems. The management of the DRAM row buffer can signif...
Kshitij Sudan, Niladrish Chatterjee, David Nellans...
MICRO
2009
IEEE
207views Hardware» more  MICRO 2009»
13 years 11 months ago
Extending the effectiveness of 3D-stacked DRAM caches with an adaptive multi-queue policy
3D-integration is a promising technology to help combat the “Memory Wall” in future multi-core processors. Past work has considered using 3D-stacked DRAM as a large last-level...
Gabriel H. Loh