Sciweavers

ISCAS
2005
IEEE
114views Hardware» more  ISCAS 2005»
13 years 10 months ago
A novel methodology for the design of LC tank VCO with low phase noise
— A novel methodology for reducing the phase noise of cross-coupled LC tank VCO is presented, verified and measured. The fundamental relationship between the phase noise and the ...
Lin Jia, Jianguo Ma, Kiat Seng Yeo, Manh Anh Do
ISCAS
2005
IEEE
140views Hardware» more  ISCAS 2005»
13 years 10 months ago
Macroblock-based algorithm for dual-bitstream MPEG video streaming with VCR functionalities
— Reverse playback is the most common video cassette recording (VCR) functions in many digital video players. However, the predictive processing techniques employed in MPEG sever...
Tak-Piu Ip, Yui-Lam Chan, Chang-Hong Fu, Wan-Chi S...
ISCAS
2005
IEEE
149views Hardware» more  ISCAS 2005»
13 years 10 months ago
A 110 dB CMRR/PSRR/gain CMOS operational amplifier
— A CMOS operational amplifier with 110 dB CMRR/PSRR/gain is described. High CMRR is achieved using the cascoded input stage with high output impedance tail current source. A lat...
Vadim Ivanov, Igor M. Filanovsky
ISCAS
2005
IEEE
129views Hardware» more  ISCAS 2005»
13 years 10 months ago
Partitioning graphs of supply and demand
: Assume that each vertex of a graph G is either a supply vertex or a demand vertex and is assigned a positive integer, called a supply or a demand. Each demand vertex can receive ...
Takehiro Ito, Xiao Zhou, Takao Nishizeki
ISCAS
2005
IEEE
99views Hardware» more  ISCAS 2005»
13 years 10 months ago
On the implementation of 128-pt FFT/IFFT for high-performance WPAN
- This paper deals with the efficient realization of a 128-pt FFT/IFFT processor for application in IEEE 802.15.3a standard. The 128-pt FFT/IFFT architecture has been designed by d...
C. Huggett, K. Maharatna, K. Paul
ISCAS
2005
IEEE
139views Hardware» more  ISCAS 2005»
13 years 10 months ago
A musical-driven video summarization system using content-aware mechanisms
—In this paper, we propose a music-driven summarization system for home videos based on several content-aware mechanisms. Many audio and video features are employed to help analy...
Chen-Hsiu Huang, Chi-Hao Wu, Jin-Hau Kuo, Ja-Ling ...
ISCAS
2005
IEEE
173views Hardware» more  ISCAS 2005»
13 years 10 months ago
Decentralized energy-conserving and coverage-preserving protocols for wireless sensor networks
Abstract— The wireless sensor network is an emerging technology that may greatly facilitate human life by providing ubiquitous sensing, computing, and communication capability. H...
Chi-Fu Huang, Li-Chu Lo, Yu-Chee Tseng, Wen-Tsuen ...
ISCAS
2005
IEEE
124views Hardware» more  ISCAS 2005»
13 years 10 months ago
One-pass computation-aware motion estimation with adaptive search strategy
— A computation-aware motion estimation algorithm is proposed in this paper. Its goal is to find the best block matching results in a computation-limited and computation-variant...
Yu-Wen Huang, Chia-Lin Lee, Ching-Yeh Chen, Liang-...
ISCAS
2005
IEEE
126views Hardware» more  ISCAS 2005»
13 years 10 months ago
Noise-tolerant XOR-based conditional keeper for high fan-in dynamic circuits
—Noise-tolerant XOR-based conditional keeper for high fan-in dynamic circuits is presented in this paper. Noise immunity is enhanced by conditionally turning on the conditional k...
Chung-Hsien Hua, Wei Hwang, Chih-Kai Chen
ISCAS
2005
IEEE
148views Hardware» more  ISCAS 2005»
13 years 10 months ago
Clock and data recovery with adaptive loop gain for spread spectrum SerDes applications
—A novel clock and data recovery architecture with adaptive loop gain is proposed for spread spectrum SerDes applications such as the Serial AT Attachment. The proposed design co...
Ming-Ta Hsieh, Gerald E. Sobelman