Sciweavers

ASPDAC
2006
ACM

Physical design implementation of segmented buses to reduce communication energy

13 years 11 months ago
Physical design implementation of segmented buses to reduce communication energy
Abstract— The amount of energy consumed for interconnecting the IP-blocks is increasing significantly due to the suboptimal scaling of long wires. To limit this energy penalty, segmented buses have gained interest in the architectural community. However, the netlist topology and the physical design stage significantly influence the final communication energy cost. We present in this paper an automated way to implement a netlist consisting of hard macro blocks, which are interconnected with heavily segmented buses in an energy optimal fashion for communication. We optimize the network wires energy dissipation in two separate, but related steps: minimizing the number of segments for active communication paths at the first step (block ordering), followed by the activity aware floorplanning step to minimize the physical length of these segments. Energy gains of up to a factor of 4 are achieved compared to a standard system implementation using a shared bus. Especially, the block or...
Jin Guo, Antonis Papanikolaou, Pol Marchal, Franck
Added 13 Jun 2010
Updated 13 Jun 2010
Type Conference
Year 2006
Where ASPDAC
Authors Jin Guo, Antonis Papanikolaou, Pol Marchal, Francky Catthoor
Comments (0)