Sciweavers

DATE
2003
IEEE

Dynamic Functional Unit Assignment for Low Power

13 years 9 months ago
Dynamic Functional Unit Assignment for Low Power
A hardware method for functional unit assignment is presented, based on the principle that a functional unit’s power consumption is approximated by the switching activity of its inputs. Since computing the Hamming distance of the inputs in hardware is expensive, only a portion of the inputs are examined. Integers often have many identical top bits, due to sign extension, and floating points often have many zeros in the least significant digits, due to the casting of integer values into floating point, and other reasons. The accuracy of these approximations is studied and the results are used to develop a simple, but effective, hardware scheme. Keywords Low power, Bit patterns, Functional unit assignment, dynamic power, hamming distance. 1
Steve Haga, Natasha Reeves, Rajeev Barua, Diana Ma
Added 04 Jul 2010
Updated 04 Jul 2010
Type Conference
Year 2003
Where DATE
Authors Steve Haga, Natasha Reeves, Rajeev Barua, Diana Marculescu
Comments (0)