Sciweavers

CHES
1999
Springer

A High-Performance Flexible Architecture for Cryptography

13 years 8 months ago
A High-Performance Flexible Architecture for Cryptography
Cryptographic algorithms are more efficiently implemented in custom hardware than in software running on general-purpose processors. However, systems which use hardware implementations have significant drawbacks: they are unable to respond to flaws discovered in the implemented algorithm or to changes in standards. In this paper we show how reconfigurable computing offers high performance yet flexible solutions for cryptographic algorithms. We focus on PipeRench, a reconfigurable fabric that supports implementations which can yield better than custom-hardware performance and yet maintains all the flexibility of software based systems. PipeRench is a pipelined reconfigurable fabric which virtualizes hardware, enabling large circuits to be run on limited physical hardware. We present implementations for Crypton, IDEA, RC6, and Twofish on PipeRench and an extension of PipeRench, PipeRench+. We also describe how various proposed AES algorithms could be implemented on PipeRench. Pi...
R. Reed Taylor, Seth Copen Goldstein
Added 03 Aug 2010
Updated 03 Aug 2010
Type Conference
Year 1999
Where CHES
Authors R. Reed Taylor, Seth Copen Goldstein
Comments (0)