Sciweavers

CF
2007
ACM

By-passing the out-of-order execution pipeline to increase energy-efficiency

13 years 8 months ago
By-passing the out-of-order execution pipeline to increase energy-efficiency
Out-of-order execution significantly increases the performance of superscalar processors. The out-of-order execution mechanism is, however, energy-inefficient, which inhibits scaling superscalar processors to high issue widths and large instruction windows. In this paper, we build on the observation that between 19% and 36% of the instructions are immediately ready for execution, even before entering the issue queue. Yet, these instructions proceed to the energy-consuming steps of instruction wake-up and select and they needlessly occupy space in the issue queue. To save energy, we propose for these instructions to by-pass the out-of-order execution core. Instead, we execute them on an energy-efficient single-issue in-order by-pass pipeline. The by-pass pipeline executes a significant fraction of all instructions, allowing performance-energy trade-offs with respect to the issue width of the out-of-order pipeline and to the issue queue size. By making these trade-offs, we show energy r...
Hans Vandierendonck, Philippe Manet, Thibault Dela
Added 13 Aug 2010
Updated 13 Aug 2010
Type Conference
Year 2007
Where CF
Authors Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat
Comments (0)