Sciweavers

MICRO
2008
IEEE

CPR: Composable performance regression for scalable multiprocessor models

13 years 10 months ago
CPR: Composable performance regression for scalable multiprocessor models
Uniprocessor simulators track resource utilization cycle by cycle to estimate performance. Multiprocessor simulators, however, must account for synchronization events that increase the cost of every cycle simulated and shared resource contention that increases the total number of cycles simulated. These effects cause multiprocessor simulation times to scale superlinearly with the number of cores. Composable performance regression (CPR) fundamentally addresses these intractable multiprocessor simulation times, estimating multiprocessor performance with a combination of uniprocessor, contention, and penalty models. The uniprocessor model predicts baseline performance of each core while the contention models predict interfering accesses from other cores. Uniprocessor and contention model outputs are composed by a penalty model to produce the final multiprocessor performance estimate. Trained with a production quality simulator, CPR is accurate with median errors of 6.63, 4.83 percent fo...
Benjamin C. Lee, Jamison D. Collins, Hong Wang 000
Added 31 May 2010
Updated 31 May 2010
Type Conference
Year 2008
Where MICRO
Authors Benjamin C. Lee, Jamison D. Collins, Hong Wang 0003, David Brooks
Comments (0)