Sciweavers

ICCD
2007
IEEE

Evaluating voltage islands in CMPs under process variations

14 years 16 days ago
Evaluating voltage islands in CMPs under process variations
Parameter variations are a major factor causing powerperformance asymmetry in chip multiprocessors. In this paper, we analyze the effects of with-in-die (WID) process variations on chip multicore processors and then apply a variable voltage island scheme to minimize power dissipation. Our idea is based on the observation that due to process variations, the critical paths in each core are likely to have a different latencies resulting in core-to-core (C2C) variations. As a result, each core can operate correctly under different supply voltage levels, achieving an optimal power consumption level. Particularly, we analyze voltage islands at different granularities ranging from a single core to a group of cores. We show that the dynamic power consumption can be reduced by up to 36.2% when each core can set its individual supply voltage level. In addition, for most manufacturing technologies, significant power savings can be achieved with only a few voltage islands on the whole chip: a si...
Abhishek Das, Serkan Ozdemir, Gokhan Memik, Alok N
Added 16 Mar 2010
Updated 16 Mar 2010
Type Conference
Year 2007
Where ICCD
Authors Abhishek Das, Serkan Ozdemir, Gokhan Memik, Alok N. Choudhary
Comments (0)