Sciweavers

ISCA
1998
IEEE

Memory Dependence Prediction Using Store Sets

13 years 8 months ago
Memory Dependence Prediction Using Store Sets
For maximum performance, an out-of-order processor must issue load instructions as early as possible, while avoiding memory-order violations with prior store instructions that write to the same memory location. One approach is to use memory dependence prediction to identify the stores upon which a load depends, and communicate that information to the instruction scheduler. We designate the set of stores upon which each load has depended as the load's "store set". The processor can discover and use a load's store set to accurately predict the earliest time the load can safely execute. We show that store sets accurately predict memory dependencies in the context of large instruction window, superscalar machines, and allow for near-optimal performance compared to an instruction scheduler with perfect knowledge of memory dependencies. In addition, we explore the implementation aspects of store sets, and describe a low cost implementation that achieves nearly optimal pe...
George Z. Chrysos, Joel S. Emer
Added 05 Aug 2010
Updated 05 Aug 2010
Type Conference
Year 1998
Where ISCA
Authors George Z. Chrysos, Joel S. Emer
Comments (0)