Sciweavers

ISLPED
2003
ACM

Reducing data cache energy consumption via cached load/store queue

13 years 9 months ago
Reducing data cache energy consumption via cached load/store queue
High-performance processors use a large set–associative L1 data cache with multiple ports. As clock speeds and size increase such a cache consumes a significant percentage of the total processor energy. This paper proposes a method of saving energy by reducing the number of data cache accesses. It does so by modifying the Load/Store Queue design to allow ”caching” of previously accessed data values on both loads and stores after the corresponding memory access instruction has been committed. It is shown that a 32-entry modified LSQ design allows an average of 38.5% of the loads in the SpecINT95 benchmarks and 18.9% in the SpecFP95 benchmarks to get their data from the LSQ. The reduction in the number of L1 cache accesses results in up to a 40% reduction in the L1 data cache energy consumption and in an up to a 16% improvement in the energy–delay product while requiring almost no additional hardware or complex control logic. Categories and Subject Descriptors
Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru
Added 05 Jul 2010
Updated 05 Jul 2010
Type Conference
Year 2003
Where ISLPED
Authors Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau
Comments (0)