Sciweavers

MICRO
2003
IEEE

Using Interaction Costs for Microarchitectural Bottleneck Analysis

13 years 10 months ago
Using Interaction Costs for Microarchitectural Bottleneck Analysis
Attacking bottlenecks in modern processors is difficult because many microarchitectural events overlap with each other. This parallelism makes it difficult to both (a) assign a cost to an event (e.g., to one of two overlapping cache misses) and (b) assign blame for each cycle (e.g., for a cycle where many, overlapping resources are active). This paper introduces a new model for understanding event costs to facilitate processor design and optimization. First, we observe that everything in a machine (instructions, hardware structures, events) can interact in only one of two ways (in parallel or serially). We quantify these interactions by defining interaction cost, which can be zero (independent, no interaction), positive (parallel), or negative (serial). Second, we illustrate the value of using interaction costs in processor design and optimization. Finally, we propose performance-monitoring hardware for measuring interaction costs that is suitable for modern processors.
Brian A. Fields, Rastislav Bodík, Mark D. H
Added 05 Jul 2010
Updated 05 Jul 2010
Type Conference
Year 2003
Where MICRO
Authors Brian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn
Comments (0)