Sciweavers

34 search results - page 1 / 7
» 3D floorplanning with thermal vias
Sort
View
DATE
2006
IEEE
114views Hardware» more  DATE 2006»
13 years 11 months ago
3D floorplanning with thermal vias
Abstract— 3D circuits have the potential to improve performance over traditional 2D circuits by reducing wirelength and interconnect delay. One major problem with 3D circuits is ...
Eric Wong, Sung Kyu Lim
ASPDAC
2010
ACM
139views Hardware» more  ASPDAC 2010»
13 years 3 months ago
Fixed-outline thermal-aware 3D floorplanning
In this paper, we present a novel algorithm for 3D floorplanning with fixed outline constraints and a particular emphasis on thermal awareness. A computationally efficient thermal ...
Linfu Xiao, Subarna Sinha, Jingyu Xu, Evangeline F...
ASPDAC
2006
ACM
148views Hardware» more  ASPDAC 2006»
13 years 11 months ago
An automated design flow for 3D microarchitecture evaluation
- Although the emerging three-dimensional integration technology can significantly reduce interconnect delay, chip area, and power dissipation in nanometer technologies, its impact...
Jason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Re...
ICCAD
2004
IEEE
138views Hardware» more  ICCAD 2004»
14 years 1 months ago
A thermal-driven floorplanning algorithm for 3D ICs
As the technology progresses, interconnect delays have become bottlenecks of chip performance. Three dimensional (3D) integrated circuits are proposed as one way to address this p...
Jason Cong, Jie Wei, Yan Zhang
ICCD
2007
IEEE
225views Hardware» more  ICCD 2007»
14 years 1 months ago
Fine grain 3D integration for microarchitecture design through cube packing exploration
Most previous 3D IC research focused on “stacking” traditional 2D silicon layers, so the interconnect reduction is limited to interblock delays. In this paper, we propose tech...
Yongxiang Liu, Yuchun Ma, Eren Kursun, Glenn Reinm...