Sciweavers

43 search results - page 3 / 9
» An Improved AMG-based Method for Fast Power Grid Analysis
Sort
View
ISPD
2007
ACM
124views Hardware» more  ISPD 2007»
13 years 7 months ago
Accurate power grid analysis with behavioral transistor network modeling
In this paper, we propose fast and efficient techniques to analyze the power grid with accurate modeling of the transistor network. The solution techniques currently available for...
Anand Ramalingam, Giri Devarayanadurg, David Z. Pa...
ISQED
2007
IEEE
254views Hardware» more  ISQED 2007»
13 years 12 months ago
An Aggregation-Based Algebraic Multigrid Method for Power Grid Analysis
ct This paper develops an aggregation-based algebraic multigrid (AbAMG) method to efficiently analyze the power grids. Different from the conventional algebraic multigrid (AMG) sc...
Pei-Yu Huang, Huan-Yu Chou, Yu-Min Lee
ASPDAC
2010
ACM
135views Hardware» more  ASPDAC 2010»
13 years 3 months ago
Efficient power grid integrity analysis using on-the-fly error check and reduction
In this paper, we present a new voltage IR drop analysis approach for large on-chip power delivery networks. The new approach is based on recently proposed sampling based reductio...
Duo Li, Sheldon X.-D. Tan, Ning Mi, Yici Cai
DAC
2005
ACM
13 years 7 months ago
Partitioning-based approach to fast on-chip decap budgeting and minimization
This paper proposes a fast decoupling capacitance (decap) allocation and budgeting algorithm for both early stage decap estimation and later stage decap minimization in today’s ...
Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, ...
DATE
2006
IEEE
126views Hardware» more  DATE 2006»
13 years 11 months ago
Analysis and modeling of power grid transmission lines
Power distribution and signal transmission are becoming key limiters for chip performance in nanometer era. These issues can be simultaneously addressed by designing transmission ...
J. Balachandran, Steven Brebels, G. Carchon, T. We...