Sciweavers

5 search results - page 1 / 1
» Blockage-avoiding buffered clock-tree synthesis for clock la...
Sort
View
ASPDAC
2008
ACM
129views Hardware» more  ASPDAC 2008»
13 years 6 months ago
Clock tree synthesis with data-path sensitivity matching
This paper investigates methods for minimizing the impact of process variation on clock skew using buffer and wire sizing. While most papers on clock trees ignore data-path circuit...
Matthew R. Guthaus, Dennis Sylvester, Richard B. B...
ASPDAC
2008
ACM
169views Hardware» more  ASPDAC 2008»
13 years 6 months ago
Buffered clock tree synthesis for 3D ICs under thermal variations
In this paper, we study the buffered clock tree synthesis problem under thermal variations for 3D IC technology. Our major contribution is the Balanced Skew Theorem, which provides...
Jacob R. Minz, Xin Zhao, Sung Kyu Lim
DAC
2008
ACM
14 years 5 months ago
Type-matching clock tree for zero skew clock gating
Clock skew minimization is always very important in the clock tree synthesis. Due to clock gating, the clock tree may include different types of logic gates, e.g., AND gates, OR g...
Chia-Ming Chang, Shih-Hsu Huang, Yuan-Kai Ho, Jia-...
ICCAD
2010
IEEE
136views Hardware» more  ICCAD 2010»
13 years 2 months ago
Synthesis of an efficient controlling structure for post-silicon clock skew minimization
Clock skew minimization has been an important design constraint. However, due to the complexity of Process, Voltage, and Temperature (PVT) variations, the minimization of clock sk...
Yu-Chien Kao, Hsuan-Ming Chou, Kun-Ting Tsai, Shih...
DAC
2006
ACM
13 years 10 months ago
Clock buffer and wire sizing using sequential programming
This paper investigates methods for clock skew minimization using buffer and wire sizing. First, a technique that significantly improves solution quality and stability of sequent...
Matthew R. Guthaus, Dennis Sylvester, Richard B. B...