Sciweavers

16 search results - page 3 / 4
» Fault tolerant placement and defect reconfiguration for nano...
Sort
View
FPGA
2009
ACM
159views FPGA» more  FPGA 2009»
13 years 11 months ago
Choose-your-own-adventure routing: lightweight load-time defect avoidance
Aggressive scaling increases the number of devices we can integrate per square millimeter but makes it increasingly difficult to guarantee that each device fabricated has the inte...
Raphael Rubin, André DeHon
CLEIEJ
2007
90views more  CLEIEJ 2007»
13 years 4 months ago
Software - Implemented Self-healing System
The term “Self-healing” denotes the capability of a software system in dealing with bugs. Fault tolerance for dependable computing is to provide the specified service through ...
Goutam Kumar Saha
MICRO
2010
IEEE
167views Hardware» more  MICRO 2010»
13 years 2 months ago
Erasing Core Boundaries for Robust and Configurable Performance
Single-thread performance, reliability and power efficiency are critical design challenges of future multicore systems. Although point solutions have been proposed to address thes...
Shantanu Gupta, Shuguang Feng, Amin Ansari, Scott ...
ETS
2007
IEEE
81views Hardware» more  ETS 2007»
13 years 11 months ago
Parallel Scan-Like Testing and Fault Diagnosis Techniques for Digital Microfluidic Biochips
Dependability is an important attribute for microfluidic biochips that are used for safety-critical applications such as point-of-care health assessment, air-quality monitoring, a...
Tao Xu, Krishnendu Chakrabarty
HPCA
2006
IEEE
14 years 5 months ago
BulletProof: a defect-tolerant CMP switch architecture
As silicon technologies move into the nanometer regime, transistor reliability is expected to wane as devices become subject to extreme process variation, particle-induced transie...
Kypros Constantinides, Stephen Plaza, Jason A. Blo...