Sciweavers

88 search results - page 1 / 18
» Fractal Coherence: Scalably Verifiable Cache Coherence
Sort
View
MICRO
2010
IEEE
159views Hardware» more  MICRO 2010»
13 years 2 months ago
Fractal Coherence: Scalably Verifiable Cache Coherence
We propose an architectural design methodology for designing formally verifiable cache coherence protocols, called Fractal Coherence. Properly designed to be fractal in behavior, t...
Meng Zhang, Alvin R. Lebeck, Daniel J. Sorin
HPCA
2007
IEEE
13 years 11 months ago
Error Detection via Online Checking of Cache Coherence with Token Coherence Signatures
To provide high dependability in a multithreaded system despite hardware faults, the system must detect and correct errors in its shared memory system. Recent research has explore...
Albert Meixner, Daniel J. Sorin
IPPS
2003
IEEE
13 years 10 months ago
So Many States, So Little Time: Verifying Memory Coherence in the Cray X1
This paper investigates a complexity-effective technique for verifying a highly distributed directory-based cache coherence protocol. We develop a novel approach called “witnes...
Dennis Abts, Steve Scott, David J. Lilja
HIPC
2007
Springer
13 years 11 months ago
Direct Coherence: Bringing Together Performance and Scalability in Shared-Memory Multiprocessors
Traditional directory-based cache coherence protocols suffer from long-latency cache misses as a consequence of the indirection introduced by the home node, which must be accessed...
Alberto Ros, Manuel E. Acacio, José M. Garc...
HPCA
2012
IEEE
12 years 9 days ago
SCD: A scalable coherence directory with flexible sharer set encoding
Large-scale CMPs with hundreds of cores require a directory-based protocol to maintain cache coherence. However, previously proposed coherence directories are hard to scale beyond...
Daniel Sanchez, Christos Kozyrakis