Sciweavers

8 search results - page 1 / 2
» Frequency and voltage planning for multi-core processors und...
Sort
View
ICCD
2008
IEEE
420views Hardware» more  ICCD 2008»
14 years 1 months ago
Frequency and voltage planning for multi-core processors under thermal constraints
— Clock frequency and transistor density increases have resulted in elevated chip temperatures. In order to meet temperature constraints while still exploiting the performance op...
Michael Kadin, Sherief Reda
LCPC
2005
Springer
13 years 10 months ago
Compiler Control Power Saving Scheme for Multi Core Processors
With the increase of transistors integrated onto a chip, multi core processor architectures have attracted much attention to achieve high effective performance, shorten developmen...
Jun Shirako, Naoto Oshiyama, Yasutaka Wada, Hiroak...
ISQED
2007
IEEE
127views Hardware» more  ISQED 2007»
13 years 11 months ago
Thermal vs Energy Optimization for DVFS-Enabled Processors in Embedded Systems
— In the past, dynamic voltage and frequency scaling (DVFS) has been widely used for power and energy optimization in embedded system design. As thermal issues become increasingl...
Yongpan Liu, Huazhong Yang, Robert P. Dick, Hui Wa...
ICCD
2006
IEEE
171views Hardware» more  ICCD 2006»
14 years 1 months ago
Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach
This paper proposes a stochastic dynamic thermal management (DTM) technique in high-performance VLSI system with especial attention to the uncertainty in temperature observation. ...
Hwisung Jung, Massoud Pedram
ISLPED
2009
ACM
184views Hardware» more  ISLPED 2009»
13 years 11 months ago
Online work maximization under a peak temperature constraint
Increasing power densities and the high cost of low thermal resistance packages and cooling solutions make it impractical to design processors for worst-case temperature scenarios...
Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick