Sciweavers

7 search results - page 1 / 2
» Gate sizing by Lagrangian relaxation revisited
Sort
View
ICCAD
2007
IEEE
97views Hardware» more  ICCAD 2007»
14 years 1 months ago
Gate sizing by Lagrangian relaxation revisited
Jia Wang, Debasish Das, Hai Zhou
ICCAD
1998
IEEE
93views Hardware» more  ICCAD 1998»
13 years 9 months ago
Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation
This paper considers simultaneous gate and wire sizing for general very large scale integrated (VLSI) circuits under the Elmore delay model. We present a fast and exact algorithm w...
Chung-Ping Chen, Chris C. N. Chu, D. F. Wong
ICCAD
2002
IEEE
149views Hardware» more  ICCAD 2002»
14 years 1 months ago
Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step
─ In this paper, we present Forge, an optimal algorithm for gate sizing using the Elmore delay model. The algorithm utilizes Lagrangian relaxation with a fast gradient-based pre-...
Hiran Tennakoon, Carl Sechen
ICCAD
2004
IEEE
115views Hardware» more  ICCAD 2004»
14 years 1 months ago
Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation
Abstract— This paper presents a post-route, timingconstrained gate-sizing algorithm for crosstalk reduction. Gate-sizing has emerged as a practical and feasible method to reduce ...
Debjit Sinha, Hai Zhou
ICCAD
2006
IEEE
123views Hardware» more  ICCAD 2006»
14 years 1 months ago
A revisit to floorplan optimization by Lagrangian relaxation
With the advent of deep sub-micron (DSM) era, floorplanning has become increasingly important in physical design process. In this paper we clarify a misunderstanding in using Lag...
Chuan Lin, Hai Zhou, Chris C. N. Chu