Sciweavers

155 search results - page 1 / 31
» ITOP: integrating timing optimization within placement
Sort
View
ISPD
2010
ACM
217views Hardware» more  ISPD 2010»
13 years 11 months ago
ITOP: integrating timing optimization within placement
Timing-driven placement is a critical step in nanometerscale physical synthesis. To improve design timing on a global scale, net-weight based global timing-driven placement is a c...
Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy,...
ASPDAC
2005
ACM
106views Hardware» more  ASPDAC 2005»
13 years 6 months ago
On structure and suboptimality in placement
Abstract— Regular structures are present in many types of circuits. If this structure can be identified and utilized, performance can be improved dramatically. In this paper, we...
Satoshi Ono, Patrick H. Madden
ISPD
1997
ACM
104views Hardware» more  ISPD 1997»
13 years 8 months ago
Timing driven placement in interaction with netlist transformations
In this paper, we present a new approach that performs timing driven placement for standard cell circuits in interaction with netlist transformations. As netlist transformations a...
Guenter Stenz, Bernhard M. Riess, Bernhard Rohflei...
MASCOTS
2003
13 years 6 months ago
A Packet-Level Simulation Study of Optimal Web Proxy Cache Placement
The Web proxy cache placement problem is often formulated as a classical optimization problem: place N proxies within an internetwork so as to minimize the average user response t...
Gwen Houtzager, Carey L. Williamson
ICCAD
2001
IEEE
97views Hardware» more  ICCAD 2001»
14 years 1 months ago
Addressing the Timing Closure Problem by Integrating Logic Optimization and Placement
Timing closure problems occur when timing estimates computed during logic synthesis do not match with timing estimates computed from the layout of the circuit. In such a situation...
Wilsin Gosti, Sunil P. Khatri, Alberto L. Sangiova...