Sciweavers

ICCAD
2001
IEEE

Addressing the Timing Closure Problem by Integrating Logic Optimization and Placement

14 years 1 months ago
Addressing the Timing Closure Problem by Integrating Logic Optimization and Placement
Timing closure problems occur when timing estimates computed during logic synthesis do not match with timing estimates computed from the layout of the circuit. In such a situation, logic synthesis and layout synthesis are iterated until the estimates match. The number of such iterations is becoming larger as technology scales. Timing closure problems occur mainly due to the difficulty in accurately predicting interconnect delay during logic synthesis. In this paper, we present an algorithm that integrates logic synthesis and global placement to address the timing closure problem. We introduce technology independent algorithms as well as technology dependent algorithms. Our technology independent algorithms are based on the notion of “wire-planning”. All these algorithms interleave their logic operations with local and incremental/full global placement, in order to maintain a consistent placement while the algorithm is run. We show that by integrating logic synthesis and placement...
Wilsin Gosti, Sunil P. Khatri, Alberto L. Sangiova
Added 17 Mar 2010
Updated 17 Mar 2010
Type Conference
Year 2001
Where ICCAD
Authors Wilsin Gosti, Sunil P. Khatri, Alberto L. Sangiovanni-Vincentelli
Comments (0)