Sciweavers

20 search results - page 1 / 4
» Microarchitectural floorplanning under performance and therm...
Sort
View
DATE
2006
IEEE
90views Hardware» more  DATE 2006»
13 years 10 months ago
Microarchitectural floorplanning under performance and thermal tradeoff
— In this paper, we present the first multi-objective microarchitectural floorplanning algorithm for designing highperformance, high-reliability processors in the early design ...
Michael B. Healy, Mario Vittes, Mongkol Ekpanyapon...
ASPDAC
2008
ACM
150views Hardware» more  ASPDAC 2008»
13 years 6 months ago
Bus-aware microarchitectural floorplanning
Abstract-- In this paper we present the first bus-aware microarchitectural floorplanning. Our goal is to study the impact of bus routability on other important floorplanning object...
Dae Hyun Kim, Sung Kyu Lim
ISLPED
2005
ACM
100views Hardware» more  ISLPED 2005»
13 years 10 months ago
Joint exploration of architectural and physical design spaces with thermal consideration
Heat is a main concern for processors in deep sub-micron technologies. The chip temperature is affected by both the power consumption of processor components and the chip layout....
Yen-Wei Wu, Chia-Lin Yang, Ping-Hung Yuh, Yao-Wen ...
ASPDAC
2006
ACM
148views Hardware» more  ASPDAC 2006»
13 years 10 months ago
An automated design flow for 3D microarchitecture evaluation
- Although the emerging three-dimensional integration technology can significantly reduce interconnect delay, chip area, and power dissipation in nanometer technologies, its impact...
Jason Cong, Ashok Jagannathan, Yuchun Ma, Glenn Re...
ASPDAC
2009
ACM
124views Hardware» more  ASPDAC 2009»
13 years 9 months ago
Thermal optimization in multi-granularity multi-core floorplanning
—Multi-core microarchitectures require a careful balance between many competing objectives to achieve the highest possible performance. Integrated Early Analysis is the considera...
Michael B. Healy, Hsien-Hsin S. Lee, Gabriel H. Lo...