Sciweavers

17 search results - page 1 / 4
» On Improving Diagnostic Test Generation for Scan Chain Failu...
Sort
View
ATS
2009
IEEE
132views Hardware» more  ATS 2009»
13 years 11 months ago
On Improving Diagnostic Test Generation for Scan Chain Failures
In this paper, we present test generation procedures to improve scan chain failure diagnosis. The proposed test generation procedures improve diagnostic resolution by using multi-...
Xun Tang, Ruifeng Guo, Wu-Tung Cheng, Sudhakar M. ...
DELTA
2008
IEEE
13 years 11 months ago
Adaptive Diagnostic Pattern Generation for Scan Chains
Scan is a widely used design-for-testability technique to improve test and diagnosis quality, however, scan chain failures account for almost 50% of chip failures. In this paper, ...
Fei Wang, Yu Hu, Xiaowei Li
DATE
2009
IEEE
94views Hardware» more  DATE 2009»
13 years 11 months ago
Improving compressed test pattern generation for multiple scan chain failure diagnosis
To reduce test data volumes, encoded tests and compacted test responses are widely used in industry. Use of test response compaction negatively impacts fault diagnosis since the e...
Xun Tang, Ruifeng Guo, Wu-Tung Cheng, Sudhakar M. ...
ITC
2003
IEEE
149views Hardware» more  ITC 2003»
13 years 10 months ago
On Reducing Aliasing Effects and Improving Diagnosis of Logic BIST Failures
Diagnosing failing vectors in a Built-In Self Test (BIST) environment is a difficult task because of the highly compressed signature coming out of the Multiple Input Shift Regist...
Ramesh C. Tekumalla
DATE
2007
IEEE
172views Hardware» more  DATE 2007»
13 years 11 months ago
Diagnosis, modeling and tolerance of scan chain hold-time violations
Errors in timing closure process during the physical design stage may result in systematic silicon failures, such as scan chain hold time violations, which prohibit the test of ma...
Ozgur Sinanoglu, Philip Schremmer