Sciweavers

16 search results - page 2 / 4
» Optimal acyclic fine-grain scheduling with cache effects for...
Sort
View
ISQED
2008
IEEE
186views Hardware» more  ISQED 2008»
13 years 11 months ago
Reliability-Aware Optimization for DVS-Enabled Real-Time Embedded Systems
—Power and energy consumption has emerged as the premier and most constraining aspect in modern computational systems. Dynamic Voltage Scheduling (DVS) has been provably one of t...
Foad Dabiri, Navid Amini, Mahsan Rofouei, Majid Sa...
RTAS
2010
IEEE
13 years 3 months ago
DARTS: Techniques and Tools for Predictably Fast Memory Using Integrated Data Allocation and Real-Time Task Scheduling
—Hardware-managed caches introduce large amounts of timing variability, complicating real-time system design. One alternative is a memory system with scratchpad memories which im...
Sangyeol Kang, Alexander G. Dean
RTAS
2006
IEEE
13 years 11 months ago
Real-Time Scheduling on Multicore Platforms
Multicore architectures, which have multiple processing units on a single chip, are widely viewed as a way to achieve higher processor performance, given that thermal and power pr...
James H. Anderson, John M. Calandrino, UmaMaheswar...
ECRTS
2008
IEEE
13 years 7 months ago
Dynamic Reconfiguration for Adaptive Multiversion Real-Time Systems
Modern real-time systems must be designed to be highly adaptable, reacting to aperiodic events in a predictable manner and exhibiting graceful degradation in overload scenarios wh...
George Lima, Eduardo Camponogara, Ana Carolina Sok...
RTAS
2003
IEEE
13 years 10 months ago
An Integrated Approach for Applying Dynamic Voltage Scaling to Hard Real-Time Systems
Wireless and portable devices depend on the limited power supplied by the battery. Dynamic Voltage Scaling (DVS) is an effective method to reduce CPU power consumption. For real-t...
Yanbin Liu, Aloysius K. Mok