Sciweavers

1370 search results - page 2 / 274
» Performance analysis and optimization of latency insensitive...
Sort
View
ICCAD
1999
IEEE
97views Hardware» more  ICCAD 1999»
13 years 9 months ago
A methodology for correct-by-construction latency insensitive design
In Deep Sub-Micron (DSM) designs, performance will depend critically on the latency of long wires. We propose a new synthesis methodology for synchronous systems that makes the de...
Luca P. Carloni, Kenneth L. McMillan, Alexander Sa...
NOCS
2008
IEEE
13 years 12 months ago
Network Simplicity for Latency Insensitive Cores
In this paper we examine a latency insensitive network composed of very fast and simple circuits that connects SoC cores that are also latency insensitive, de-synchronized, or asy...
Daniel Gebhardt, JunBok You, W. Scott Lee, Kenneth...
DATE
2010
IEEE
124views Hardware» more  DATE 2010»
13 years 10 months ago
Control network generator for latency insensitive designs
—Creating latency insensitive or asynchronous designs from clocked designs has potential benefits of increased modularity and robustness to variations. Several transformations h...
Eliyah Kilada, Kenneth S. Stevens
ENTCS
2006
113views more  ENTCS 2006»
13 years 5 months ago
The Role of Back-Pressure in Implementing Latency-Insensitive Systems
Back-pressure is a logical mechanism to control the flow of information on a communication channel of a latency-insensitive system (LIS) while guaranteeing that no packet is lost....
Luca P. Carloni
ENTCS
2008
110views more  ENTCS 2008»
13 years 5 months ago
Performance Evaluation of Elastic GALS Interfaces and Network Fabric
This paper reports on the design of a test chip built to test a) a new latency insensitive network fabric protocol and circuits, b) a new synchronizer design, and c) how efficient...
JunBok You, Yang Xu, Hosuk Han, Kenneth S. Stevens