Sciweavers

218 search results - page 2 / 44
» Predictive dynamic thermal management for multimedia applica...
Sort
View
ICCD
2005
IEEE
109views Hardware» more  ICCD 2005»
14 years 2 months ago
Optimizing the Thermal Behavior of Subarrayed Data Caches
Designing temperature-aware microarchitectures for microprocessors at new technologies is becoming a critical requirement due to the exponentially increasing on-chip power density...
Johnsy K. John, Jie S. Hu, Sotirios G. Ziavras
ISLPED
2009
ACM
123views Hardware» more  ISLPED 2009»
13 years 10 months ago
Predict and act: dynamic thermal management for multi-core processors
In this paper, we propose a proactive dynamic thermal management scheme for chip multiprocessors that run multi-threaded workloads. We introduce a new predictor that utilizes the ...
Raid Zuhair Ayoub, Tajana Simunic Rosing
DATE
2009
IEEE
128views Hardware» more  DATE 2009»
14 years 2 days ago
Temperature-aware scheduler based on thermal behavior grouping in multicore systems
—Dynamic Thermal Management techniques have been widely accepted as a thermal solution for their low cost and simplicity. The techniques have been used to manage the heat dissipa...
Inchoon Yeo, Eun Jung Kim
HPCA
2001
IEEE
14 years 5 months ago
Dynamic Thermal Management for High-Performance Microprocessors
With the increasing clock rate and transistor count of today's microprocessors, power dissipation is becoming a critical component of system design complexity. Thermal and po...
David Brooks, Margaret Martonosi
MJ
2011
288views Multimedia» more  MJ 2011»
13 years 8 days ago
Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling
New tendencies envisage 2D/3D Multi-Processor System-On-Chip (MPSoC) as a promising solution for the consumer electronics market. MPSoCs are complex to design, as they must execute...
Pablo Garcia Del Valle, David Atienza