Sciweavers

61 search results - page 1 / 13
» Synthesis of Selectively Clocked Skewed Logic Circuits
Sort
View
ISQED
2002
IEEE
103views Hardware» more  ISQED 2002»
13 years 10 months ago
Synthesis of Selectively Clocked Skewed Logic Circuits
Aiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaush...
DAC
2008
ACM
14 years 6 months ago
Type-matching clock tree for zero skew clock gating
Clock skew minimization is always very important in the clock tree synthesis. Due to clock gating, the clock tree may include different types of logic gates, e.g., AND gates, OR g...
Chia-Ming Chang, Shih-Hsu Huang, Yuan-Kai Ho, Jia-...
ASPDAC
2008
ACM
129views Hardware» more  ASPDAC 2008»
13 years 7 months ago
Clock tree synthesis with data-path sensitivity matching
This paper investigates methods for minimizing the impact of process variation on clock skew using buffer and wire sizing. While most papers on clock trees ignore data-path circuit...
Matthew R. Guthaus, Dennis Sylvester, Richard B. B...
ISPD
2000
ACM
126views Hardware» more  ISPD 2000»
13 years 9 months ago
A practical clock tree synthesis for semi-synchronous circuits
In this paper, we propose a new clock tree synthesis method for semi-synchronous circuits. A clock tree obtained by the proposed method is a multi-level multi-way clock tree such ...
Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui,...