Sciweavers

21 search results - page 2 / 5
» Thermal via planning for 3-D ICs
Sort
View
ASPDAC
2005
ACM
86views Hardware» more  ASPDAC 2005»
13 years 11 months ago
Thermal-driven multilevel routing for 3-D ICs
3-D IC has a great potential for improving circuit performance and degree of integration. It is also an attractive platform for system-on-chip or system-in-package solutions. A cr...
Jason Cong, Yan Zhang
ICCD
2007
IEEE
139views Hardware» more  ICCD 2007»
14 years 2 months ago
Whitespace redistribution for thermal via insertion in 3D stacked ICs
One of the biggest challenges in 3D stacked IC design is heat dissipation. Incorporating thermal vias is a promising method for reducing the temperatures of 3D ICs. The bonding st...
Eric Wong, Sung Kyu Lim
ISLPED
2006
ACM
99views Hardware» more  ISLPED 2006»
13 years 11 months ago
Thermal via allocation for 3D ICs considering temporally and spatially variant thermal power
All existing methods for thermal-via allocation are based on a steady-state thermal analysis and may lead to excessive number of thermal vias. This paper develops an accurate and ...
Hao Yu, Yiyu Shi, Lei He, Tanay Karnik
DAC
2007
ACM
14 years 6 months ago
Placement of 3D ICs with Thermal and Interlayer Via Considerations
Thermal problems and limitations on interlayer via densities are important design constraints on three-dimensional integrated circuits (3D ICs), and need to be considered during g...
Brent Goplen, Sachin S. Sapatnekar
DATE
2006
IEEE
114views Hardware» more  DATE 2006»
13 years 11 months ago
3D floorplanning with thermal vias
Abstract— 3D circuits have the potential to improve performance over traditional 2D circuits by reducing wirelength and interconnect delay. One major problem with 3D circuits is ...
Eric Wong, Sung Kyu Lim