Sciweavers

93 search results - page 1 / 19
» Timing optimization of FPGA placements by logic replication
Sort
View
DAC
2004
ACM
14 years 5 months ago
An approach to placement-coupled logic replication
We present a set of techniques for placement-coupled, timingdriven logic replication. Two components are at the core of the approach. First is an algorithm for optimal timingdrive...
Milos Hrkic, John Lillis, Giancarlo Beraudo
FPGA
2004
ACM
128views FPGA» more  FPGA 2004»
13 years 8 months ago
Incremental physical resynthesis for timing optimization
This paper presents a new approach to timing optimization for FPGA designs, namely incremental physical resynthesis, to answer the challenge of effectively integrating logic and p...
Peter Suaris, Lung-Tien Liu, Yuzheng Ding, Nan-Chi...
FPGA
2008
ACM
173views FPGA» more  FPGA 2008»
13 years 6 months ago
The amorphous FPGA architecture
This paper describes the Amorphous FPGA, an innovative architecture attempting to optimally allocate logic and routing resource on per-mapping basis. Designed for high performance...
Mingjie Lin
EH
2004
IEEE
131views Hardware» more  EH 2004»
13 years 8 months ago
Swarm Intelligence for Digital Circuits Implementation on Field Programmable Gate Arrays Platforms
Field programmable gate arrays (FPGAs) are becoming increasingly important implementation platforms for digital circuits. One of the necessary requirements to effectively utilize ...
Ganesh K. Venayagamoorthy, Venu G. Gudise