Sciweavers

13 search results - page 2 / 3
» Victim alignment in crosstalk aware timing analysis
Sort
View
ICCAD
2004
IEEE
102views Hardware» more  ICCAD 2004»
14 years 2 months ago
True crosstalk aware incremental placement with noise map
Crosstalk noise has become an important issue as technology scales down for timing and signal integrity closure. Existing works to fix crosstalk noise are mostly done at the rout...
Haoxing Ren, David Zhigang Pan, Paul Villarrubia
ICCD
2004
IEEE
120views Hardware» more  ICCD 2004»
14 years 2 months ago
XTalkDelay: A Crosstalk-Aware Timing Analysis Tool for Chip-Level Designs
This paper describes XTalkDelay, an industrial-strength methodology and tool for measuring the impact of crosstalk on delays of paths in a design. The main cornerstone of XTalkDel...
Yinghua Li, Rajeev Murgai, Takashi Miyoshi, Ashwin...
DATE
2004
IEEE
142views Hardware» more  DATE 2004»
13 years 9 months ago
Eliminating False Positives in Crosstalk Noise Analysis
Noise affects circuit operation by increasing gate delays and causing latches to capture incorrect values. Noise analysis techniques can detect some of such noise faults, but accu...
Yajun Ran, Alex Kondratyev, Yosinori Watanabe, Mal...
VLSID
2002
IEEE
129views VLSI» more  VLSID 2002»
14 years 5 months ago
Efficient Generation of Delay Change Curves for Noise-Aware Static Timing Analysis
In this paper, we explore the concept of using analytical models to efficiently generate delay change curves (DCCs) that can then be used to characterize the impact of noise on an...
Kanak Agarwal, Yu Cao, Takashi Sato, Dennis Sylves...
DAC
2003
ACM
14 years 6 months ago
Temporofunctional crosstalk noise analysis
Noise affects circuit operation by increasing gate delays and causing latches to capture incorrect values. This paper proposes a method of characterizing correlation of signal tra...
Donald Chai, Alex Kondratyev, Yajun Ran, Kenneth H...