Sciweavers

DAC
1996
ACM
13 years 8 months ago
Optimal Clock Skew Scheduling Tolerant to Process Variations
1- A methodology is presented in this paper for determining an optimal set of clock path delays for designing high performance VLSI/ULSI-based clock distribution networks. This met...
José Luis Neves, Eby G. Friedman
ICCAD
1999
IEEE
86views Hardware» more  ICCAD 1999»
13 years 9 months ago
Clock skew scheduling for improved reliability via quadratic programming
This paper considers the problem of determining an optimal clock skew schedule for a synchronous VLSI circuit. A novel formulation of clock skew scheduling as a constrained quadrat...
Ivan S. Kourtev, Eby G. Friedman
DAC
2009
ACM
13 years 9 months ago
Serial reconfigurable mismatch-tolerant clock distribution
We present an unconventional clock distribution that emphasizes flexibility and layout independence. It suits a variety of applications, clock domain shapes and sizes using a modu...
Atanu Chattopadhyay, Zeljko Zilic
GLVLSI
2010
IEEE
131views VLSI» more  GLVLSI 2010»
13 years 9 months ago
Clock skew reduction by self-compensating manufacturing variability with on-chip sensors
This paper presents a self-compensation scheme of manufacturing variability for clock skew reduction. In the proposed scheme, a CDN with embedded variability sensors tunes variabl...
Shinya Abe, Kenichi Shinkai, Masanori Hashimoto, T...
ISQED
2002
IEEE
105views Hardware» more  ISQED 2002»
13 years 9 months ago
Impact Analysis of Process Variability on Clock Skew
This paper presents a methodology for the statistical analysis of clock tree structures. It allows to accurately predict and analyze the impact of process variation on clock skew....
Enrico Malavasi, Stefano Zanella, Min Cao, Julian ...
GLVLSI
2010
IEEE
171views VLSI» more  GLVLSI 2010»
13 years 9 months ago
Timing-driven variation-aware nonuniform clock mesh synthesis
Clock skew variations adversely affect timing margins, limiting performance, reducing yield, and may also lead to functional faults. Non-tree clock distribution networks, such as ...
Ameer Abdelhadi, Ran Ginosar, Avinoam Kolodny, Eby...
ASPDAC
2005
ACM
140views Hardware» more  ASPDAC 2005»
13 years 10 months ago
A multi-level transmission line network approach for multi-giga hertz clock distribution
-In high performance systems, process variations and fluctuations of operating environments have significant impact on the clock skew. Recently, hybrid structures of H-tree and m...
Hongyu Chen, Chung-Kuan Cheng
ISQED
2005
IEEE
95views Hardware» more  ISQED 2005»
13 years 10 months ago
Statistical Analysis of Clock Skew Variation in H-Tree Structure
This paper discusses clock skew due to manufacturing variability and environmental change. In clock tree design, transition time constraint is an important design parameter that c...
Masanori Hashimoto, Tomonori Yamamoto, Hidetoshi O...
ISPD
2006
ACM
108views Hardware» more  ISPD 2006»
13 years 10 months ago
Statistical clock tree routing for robustness to process variations
Advances in VLSI technology make clock skew more susceptible to process variations. Notwithstanding efficient zero skew routing algorithms, clock skew still limits post-manufactu...
Uday Padmanabhan, Janet Meiling Wang, Jiang Hu
DATE
2006
IEEE
104views Hardware» more  DATE 2006»
13 years 10 months ago
Integrated placement and skew optimization for rotary clocking
—The clock distribution network is a key component of any synchronous VLSI design. High power dissipation and pressure volume temperature-induced variations in clock skew have st...
Ganesh Venkataraman, Jiang Hu, Frank Liu, Cliff C....