Sciweavers

ICCAD
2009
IEEE
93views Hardware» more  ICCAD 2009»
13 years 2 months ago
An efficient wakeup scheduling considering resource constraint for sensor-based power gating designs
Power gating has been a very effective way to reduce leakage power. One important design issue for a power gating design is to limit the surge current during the wakeup process. N...
Ming-Chao Lee, Yu-Ting Chen, Yo-Tzu Cheng, Shih-Ch...
JCNS
2002
62views more  JCNS 2002»
13 years 4 months ago
Computing Transient Gating Charge Movement of Voltage-Dependent Ion Channels
The opening of voltage-gated sodium, potassium, and calcium ion channels has a steep relationship with voltage. In response to changes in the transmembrane voltage, structural move...
Anthony Varghese, Linda M. Boland
TCAD
2008
120views more  TCAD 2008»
13 years 4 months ago
Charge Recycling in Power-Gated CMOS Circuits
Abstract--Design of a suitable power gating (e.g., multithreshold CMOS or super cutoff CMOS) structure is an important and challenging task in sub-90nm VLSI circuits where leakage ...
Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram
BMCBI
2010
78views more  BMCBI 2010»
13 years 4 months ago
The curvHDR method for gating flow cytometry samples
High-throughput flow cytometry experiments produce hundreds of large multivariate samples of cellular characteristics. These samples require specialized processing to obtain clini...
Ulrike Naumann, George Luta, Matthew P. Wand
ICMLA
2008
13 years 6 months ago
Tumor Targeting for Lung Cancer Radiotherapy Using Machine Learning Techniques
Accurate lung tumor targeting in real time plays a fundamental role in image-guide radiotherapy of lung cancers. Precise tumor targeting is required for both respiratory gating an...
Tong Lin, Laura Cervino, Xiaoli Tang, Nuno Vasconc...
CGO
2004
IEEE
13 years 8 months ago
Software-Controlled Operand-Gating
Operand gating is a technique for improving processor energy efficiency by gating off sections of the data path that are unneeded by short-precision (narrow) operands. A method fo...
Ramon Canal, Antonio González, James E. Smi...
ISLPED
1999
ACM
131views Hardware» more  ISLPED 1999»
13 years 9 months ago
Challenges in clockgating for a low power ASIC methodology
Gating the clock is an important technique used in low power design to disable unused modules of a circuit. Gating can save power by both preventing unnecessary activiiy in the lo...
David Garrett, Mircea R. Stan, Alvar Dean
ISLPED
2003
ACM
90views Hardware» more  ISLPED 2003»
13 years 9 months ago
Understanding and minimizing ground bounce during mode transition of power gating structures
We introduce and analyze the ground bounce due to power mode transition in power gating structures. To reduce the ground bounce, we propose novel power gating structures in which ...
Suhwan Kim, Stephen V. Kosonocky, Daniel R. Knebel
SAMOS
2007
Springer
13 years 10 months ago
Automated Power Gating of Registers Using CoDeL and FSM Branch Prediction
In this paper, we use the CoDeL hardware design platform to analyze the potential and performance impact of power gating individual registers. For each register, we examine the per...
Nainesh Agarwal, Nikitas J. Dimopoulos