Sciweavers

31 search results - page 5 / 7
» A Comparative Study of Dynamic Voltage Scaling Techniques fo...
Sort
View
RTAS
2006
IEEE
14 years 11 days ago
Reliability-Aware Dynamic Energy Management in Dependable Embedded Real-Time Systems
Recent studies show that, voltage scaling, which is an efficient energy management technique, has a direct and negative effect on system reliability because of the increased rate...
Dakai Zhu
HPCA
2005
IEEE
14 years 6 months ago
Voltage and Frequency Control With Adaptive Reaction Time in Multiple-Clock-Domain Processors
Dynamic voltage and frequency scaling (DVFS) is a widely-used method for energy-efficient computing. In this paper, we present a new intra-task online DVFS scheme for multiple clo...
Qiang Wu, Philo Juang, Margaret Martonosi, Douglas...
CAL
2006
13 years 6 months ago
User-Driven Frequency Scaling
Abstract-- We propose and evaluate User-Driven Frequency Scaling (UDFS) for improved power management on processors that support Dynamic Voltage and Frequency Scaling (DVFS), e.g, ...
Arindam Mallik, Bin Lin, Gokhan Memik, Peter A. Di...
RTAS
2003
IEEE
13 years 11 months ago
Collaborative Operating System and Compiler Power Management for Real-Time Applications
Managing energy consumption has become vitally important to battery operated portable and embedded systems. A dynamic voltage scaling (DVS) technique reduces the processor’s dyn...
Nevine AbouGhazaleh, Daniel Mossé, Bruce R....
RTAS
2008
IEEE
14 years 22 days ago
A Hybrid DVS Scheme for Interactive 3D Games
Interactive 3D games are now widely available on a variety of mobile devices for which battery-life is a major concern. Many of these devices support voltage/frequencyscalable pro...
Yan Gu, Samarjit Chakraborty