Sciweavers

27 search results - page 6 / 6
» Register renaming and dynamic speculation: an alternative ap...
Sort
View
DATE
2002
IEEE
100views Hardware» more  DATE 2002»
13 years 11 months ago
AccuPower: An Accurate Power Estimation Tool for Superscalar Microprocessors
This paper describes the AccuPower toolset -- a set of simulation tools accurately estimating the power dissipation within a superscalar microprocessor. AccuPower uses a true hard...
Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose
JSA
2000
116views more  JSA 2000»
13 years 6 months ago
Distributed vector architectures
Integrating processors and main memory is a promising approach to increase system performance. Such integration provides very high memory bandwidth that can be exploited efficientl...
Stefanos Kaxiras