Sciweavers

57 search results - page 2 / 12
» Retiming and clock scheduling for digital circuit optimizati...
Sort
View
ICCD
1997
IEEE
100views Hardware» more  ICCD 1997»
13 years 10 months ago
Optimal Clock Period Clustering for Sequential Circuits with Retiming
Abstract— In this paper we consider the problem of clustering sequential circuits subject to a bound on the area of each cluster, with the objective of minimizing the clock perio...
Arvind K. Karandikar, Peichen Pan, C. L. Liu
DAC
1997
ACM
13 years 10 months ago
An Improved Algorithm for Minimum-Area Retiming
The concept of improving the timing behavior of a circuit by relocating flip-flops is called retiming and was first presented by Leiserson and Saxe. The ASTRA algorithm propose...
Naresh Maheshwari, Sachin S. Sapatnekar
ICCAD
2003
IEEE
113views Hardware» more  ICCAD 2003»
14 years 3 months ago
Retiming with Interconnect and Gate Delay
In this paper, we study the problem of retiming of sequential circuits with both interconnect and gate delay. Most retiming algorithms have assumed ideal conditions for the non-lo...
Chris C. N. Chu, Evangeline F. Y. Young, Dennis K....
ISSS
1998
IEEE
96views Hardware» more  ISSS 1998»
13 years 10 months ago
Fine Grain Incremental Rescheduling Via Architectural Retiming
With the decreasing feature sizes during VLSI fabrication and the dominance of interconnect delay over that of gates, control logic and wiring no longer have a negligible impact o...
Soha Hassoun
ISPD
2004
ACM
134views Hardware» more  ISPD 2004»
13 years 11 months ago
Performance-driven register insertion in placement
As the CMOS technology is scaled into the dimension of nanometer, the clock frequencies and die sizes of ICs are shown to be increasing steadily [5]. Today, global wires that requ...
Dennis K. Y. Tong, Evangeline F. Y. Young