Sciweavers

114 search results - page 3 / 23
» Sub-threshold design: the challenges of minimizing circuit e...
Sort
View
ISLPED
2004
ACM
107views Hardware» more  ISLPED 2004»
13 years 11 months ago
Characterizing and modeling minimum energy operation for subthreshold circuits
Subthreshold operation is emerging as an energy-saving approach to many new applications. This paper examines energy minimization for circuits operating in the subthreshold region...
Benton H. Calhoun, Anantha Chandrakasan
ISCAS
2005
IEEE
140views Hardware» more  ISCAS 2005»
13 years 12 months ago
Low energy asynchronous architectures
: Asynchronous circuits are often presented as a means of achieving low power operation. We investigate their suitability for low-energy applications, where long battery life and d...
Ilya Obridko, Ran Ginosar
ISLPED
2000
ACM
101views Hardware» more  ISLPED 2000»
13 years 10 months ago
Design issues for dynamic voltage scaling
Processors in portable electronic devices generally have a computational load which has time-varying performance requirements. Dynamic Voltage Scaling is a method to vary the proc...
Thomas D. Burd, Robert W. Brodersen
ICCAD
2008
IEEE
115views Hardware» more  ICCAD 2008»
14 years 3 months ago
Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing
- In this paper, we present a technique to optimize the energy-delay product of a synchronous linear pipeline circuit with dynamic error detection and correction capability running...
Mohammad Ghasemazar, Massoud Pedram
MOBICOM
2009
ACM
14 years 23 days ago
Challenge: ultra-low-power energy-harvesting active networked tags (EnHANTs)
This paper presents the design challenges posed by a new class of ultra-low-power devices referred to as Energy-Harvesting Active Networked Tags (EnHANTs). EnHANTs are small, fle...
Maria Gorlatova, Peter R. Kinget, Ioannis Kymissis...