Sciweavers

MICRO
2009
IEEE

Improving memory bank-level parallelism in the presence of prefetching

13 years 11 months ago
Improving memory bank-level parallelism in the presence of prefetching
DRAM systems achieve high performance when all DRAM banks are busy servicing useful memory requests. The degree to which DRAM banks are busy is called DRAM Bank-Level Parallelism (BLP). This paper proposes two new cost-effective mechanisms to maximize DRAM BLP. BLP-Aware Prefetch Issue (BAPI) issues prefetches into the on-chip Miss Status Holding Registers (MSHRs) associated with each core in a multi-core system such that the requests can be serviced in parallel in different DRAM banks. BLP-Preserving Multi-core Request Issue (BPMRI) does the actual loading of the DRAM controller’s request buffers so that requests from the same core can be serviced in parallel, minimizing the serialization of each core’s concurrent requests. When combined, BAPI and BPMRI improve system
Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N
Added 24 May 2010
Updated 24 May 2010
Type Conference
Year 2009
Where MICRO
Authors Chang Joo Lee, Veynu Narasiman, Onur Mutlu, Yale N. Patt
Comments (0)