Sciweavers

DATE
2008
IEEE

Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation

13 years 11 months ago
Layout-Aware, IR-Drop Tolerant Transition Fault Pattern Generation
— Market and customer demands have continued to push the limits of CMOS performance. At-speed test has become a common method to ensure these high performance chips are being shipped to the customers fault-free. However, at-speed tests have been known to create higher-than-average switching activity, which normally is not accounted for in the design of the power supply network. This potentially creates conditions for additional delay in the chip; causing it to fail during test. In this paper, we propose a pattern compaction technique that considers the layout and gate distribution when generating transition delay fault patterns. The technique focuses on evenly distributing switching activity generated by the patterns across the layout rather than allowing high switching activity to occur in a small area in the chip that could occur with conventional delay fault pattern generation. Due to the relationship between switching activity and IR-drop, the reduction of switching will prevent ...
Jeremy Lee, Sumit Narayan, Mike Kapralos, Mohammad
Added 29 May 2010
Updated 29 May 2010
Type Conference
Year 2008
Where DATE
Authors Jeremy Lee, Sumit Narayan, Mike Kapralos, Mohammad Tehranipoor
Comments (0)