Sciweavers

MICRO
2010
IEEE

Parichute: Generalized Turbocode-Based Error Correction for Near-Threshold Caches

13 years 1 months ago
Parichute: Generalized Turbocode-Based Error Correction for Near-Threshold Caches
Energy efficiency is a primary concern for microprocessor designers. A very effective approach to improving the energy efficiency of a chip is to lower its supply voltage to very close to the transitor's threshold voltage, into what is called the nearthresold region. This reduces power consumption dramatically but also decreases reliability by orders of magnitude, especially for SRAM structures such as caches. This paper presents Parichute, a novel and powerful error correction technique based on turbo product codes that allows caches to continue to operate in near-threshold, while trading off some cache capacity to store error correction information. Our Parichute-based cache implementation is flexible, allowing protection to be disabled in error-free high voltage operation and selectively enabled as the voltage is lowered and the error rate increases. Parichute is also selftesting and variation-aware, allowing selective protection of cache sections that exhibit errors at higher...
Timothy N. Miller, Renji Thomas, James Dinan, Bruc
Added 14 Feb 2011
Updated 14 Feb 2011
Type Journal
Year 2010
Where MICRO
Authors Timothy N. Miller, Renji Thomas, James Dinan, Bruce M. Adcock, Radu Teodorescu
Comments (0)