Sciweavers

TVLSI
2010

On the Power Management of Simultaneous Multithreading Processors

12 years 11 months ago
On the Power Management of Simultaneous Multithreading Processors
SMT processors are widely used in high performance computing tasks. However, with the improved performance of the SMT architecture, the utilization of their functional units is significantly increased, straining the power budget of the processor. This increases not only the dynamic power consumption, but also the leakage power consumption due to the increased temperature. In this paper, a comparison of the static and dynamic sleep signal generation techniques for SMT processors is presented. This is conducted under various workloads to assess their effectiveness in leakage power management. Results show that the dynamic approach exhibits a three-fold increase in leakage savings, compared with that of the static approach for certain functional units.
Ahmed Youssef, Mohamed Zahran, Mohab Anis, Mohamed
Added 22 May 2011
Updated 22 May 2011
Type Journal
Year 2010
Where TVLSI
Authors Ahmed Youssef, Mohamed Zahran, Mohab Anis, Mohamed I. Elmasry
Comments (0)