Sciweavers

HPCA
2009
IEEE

Soft error vulnerability aware process variation mitigation

13 years 11 months ago
Soft error vulnerability aware process variation mitigation
As transistor process technology approaches the nanometer scale, process variation significantly affects the design and optimization of high performance microprocessors. Prior studies have shown that chip operating frequency and leakage power can have large variations due to fluctuations in transistor gate length and sub-threshold voltage. In this work, we study the impact of process variation on microarchitecture soft error robustness, an increasing reliability design challenge in the billion-transistor chip era. We explore two techniques that can effectively mitigate the effect of design parameter variation while significantly enhancing microarchitecture soft error reliability. Our first technique is entry-based. It tolerates the deleterious impact of variable latency techniques on soft error reliability by reducing the quantity and residency cycle of vulnerable bits in the microarchitecture structure at a fine granularity. Our second technique is structure-based. It applies body bi...
Xin Fu, Tao Li, José A. B. Fortes
Added 19 May 2010
Updated 19 May 2010
Type Conference
Year 2009
Where HPCA
Authors Xin Fu, Tao Li, José A. B. Fortes
Comments (0)