Sciweavers

11 search results - page 2 / 3
» An Event-Driven Approach to Crosstalk Noise Analysis
Sort
View
ICCAD
2007
IEEE
105views Hardware» more  ICCAD 2007»
14 years 9 days ago
Victim alignment in crosstalk aware timing analysis
Modeling the effect of coupling noise on circuit delay is a key issue in static timing analysis (STA) and involves the “victimaggressor alignment” problem. As delay-noise depe...
Ravikishore Gandikota, Kaviraj Chopra, David Blaau...
DAC
1999
ACM
13 years 10 months ago
On-Chip Inductance Issues in Multiconductor Systems
As the family of Alpha microprocessors continues to scale into more advanced technologies with very high frequency edge rates and multiple layers of interconnect, the issue of cha...
Shannon V. Morton
DAC
2005
ACM
14 years 7 months ago
Automated nonlinear Macromodelling of output buffers for high-speed digital applications
We present applications of a recently developed automated nonlinear macromodelling approach to the important problem of macromodelling high-speed output buffers/drivers. Good nonl...
Ning Dong, Jaijeet S. Roychowdhury
LREC
2010
193views Education» more  LREC 2010»
13 years 7 months ago
DiSCo - A German Evaluation Corpus for Challenging Problems in the Broadcast Domain
Typical broadcast material contains not only studio-recorded texts read by trained speakers, but also spontaneous and dialect speech, debates with cross-talk, voice-overs, and on-...
Doris Baum, Daniel Schneider, Rolf Bardeli, Jochen...
DAC
2007
ACM
14 years 7 months ago
A New Twisted Differential Line Structure in Global Bus Design
Twisted differential line structure can effectively reduce crosstalk noise on global bus, which foresees a wide applicability. However, measured performance based on fabricated ci...
Zhanyuan Jiang, Shiyan Hu, Weiping Shi