Sciweavers

16 search results - page 1 / 4
» Automating Postsilicon Debugging and Repair
Sort
View
ICCAD
2007
IEEE
99views Hardware» more  ICCAD 2007»
14 years 2 months ago
Automating post-silicon debugging and repair
Modern IC designs have reached unparalleled levels of complexity, resulting in more and more bugs discovered after design tape-out However, so far only very few EDA tools for post...
Kai-Hui Chang, Igor L. Markov, Valeria Bertacco
COMPUTER
2008
94views more  COMPUTER 2008»
13 years 5 months ago
Automating Postsilicon Debugging and Repair
Kai-Hui Chang, Igor L. Markov, Valeria Bertacco
DATE
2009
IEEE
110views Hardware» more  DATE 2009»
14 years 9 days ago
Trace signal selection for visibility enhancement in post-silicon validation
Today’s complex integrated circuit designs increasingly rely on post-silicon validation to eliminate bugs that escape from presilicon verification. One effective silicon debug ...
Xiao Liu, Qiang Xu
ATS
2010
IEEE
253views Hardware» more  ATS 2010»
13 years 3 months ago
On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation
One of the most challenging problems in post-silicon validation is to identify those errors that cause prohibitive extra delay on speedpaths in the circuit under debug (CUD) and o...
Xiao Liu, Qiang Xu
KBSE
2009
IEEE
14 years 8 days ago
A Case for Automated Debugging Using Data Structure Repair
—Automated debugging is becoming increasingly important as the size and complexity of software increases. This paper makes a case for using constraint-based data structure repair...
Muhammad Zubair Malik, Khalid Ghori, Bassem Elkara...