Sciweavers

12 search results - page 1 / 3
» Automating post-silicon debugging and repair
Sort
View
ATS
2010
IEEE
253views Hardware» more  ATS 2010»
13 years 2 months ago
On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation
One of the most challenging problems in post-silicon validation is to identify those errors that cause prohibitive extra delay on speedpaths in the circuit under debug (CUD) and o...
Xiao Liu, Qiang Xu
DATE
2008
IEEE
121views Hardware» more  DATE 2008»
13 years 11 months ago
On Automated Trigger Event Generation in Post-Silicon Validation
When searching for functional bugs in silicon, debug data is acquired after a trigger event occurs. A trigger event can be configured at run-time using a set of control registers...
Ho Fai Ko, Nicola Nicolici
ICCAD
2007
IEEE
99views Hardware» more  ICCAD 2007»
14 years 1 months ago
Automating post-silicon debugging and repair
Modern IC designs have reached unparalleled levels of complexity, resulting in more and more bugs discovered after design tape-out However, so far only very few EDA tools for post...
Kai-Hui Chang, Igor L. Markov, Valeria Bertacco
KBSE
2009
IEEE
13 years 11 months ago
A Case for Automated Debugging Using Data Structure Repair
—Automated debugging is becoming increasingly important as the size and complexity of software increases. This paper makes a case for using constraint-based data structure repair...
Muhammad Zubair Malik, Khalid Ghori, Bassem Elkara...
DAC
2009
ACM
14 years 5 months ago
Interconnection fabric design for tracing signals in post-silicon validation
Post-silicon validation has become an essential step in the design flow of today's complex integrated circuits. One effective technique that provides real-time visibility to ...
Xiao Liu, Qiang Xu