Sciweavers

55 search results - page 2 / 11
» Double-via-driven standard cell library design
Sort
View
DAC
1997
ACM
13 years 9 months ago
CELLERITY: A Fully Automatic Layout Synthesis System for Standard Cell Libraries
This paper describes a fully automatic standard-cell layout synthesis system, CELLERITY. The system is flexible in supporting a wide variety of process technologies and a range of...
Mohankumar Guruswamy, Robert L. Maziasz, Daniel Du...
ASPDAC
2000
ACM
77views Hardware» more  ASPDAC 2000»
13 years 10 months ago
Compact yet high performance (CyHP) library for short time-to-market with new technologies
Two compact yet high performance standard cell libraries (CyHP libraries), which contain only 11111111 and 20 cells respectively, are proposed. The first CyHP library leads to 5% i...
Nguyen Minh Duc, Takayasu Sakurai
ISQED
2006
IEEE
124views Hardware» more  ISQED 2006»
13 years 11 months ago
DFM Metrics for Standard Cells
Design for Manufacturability (DFM) is becoming increasingly important as process geometries shrink. Conventional design rule pass/fail is not adequate to quantify DFM compliance. ...
Robert C. Aitken
ISVLSI
2008
IEEE
126views VLSI» more  ISVLSI 2008»
14 years 1 hour ago
Standard Cell Like Via-Configurable Logic Block for Structured ASICs
A structured ASIC has some arrays of pre-fabricated yet configurable logic blocks (CLBs) with/without a regular routing fabric. In this paper, we propose a standard cell like via-...
Mei-Chen Li, Hui-Hsiang Tung, Chien-Chung Lai, Run...
GLVLSI
1997
IEEE
105views VLSI» more  GLVLSI 1997»
13 years 9 months ago
OLIVIA: Objectoriented Logicsimulation Implementing the VITAL Standard
In a VHDL-based design flow for applicationspecific integrated circuits, VITAL provides a uniform methodology for developing ASIC libraries for signoff simulation. The VITAL Sta...
Josef Fleischmann, Rolf Schlagenhaft, Martin Pelle...