Sciweavers

11 search results - page 1 / 3
» In-System Silicon Validation and Debug
Sort
View
VTS
2008
IEEE
78views Hardware» more  VTS 2008»
13 years 11 months ago
Expanding Trace Buffer Observation Window for In-System Silicon Debug through Selective Capture
Trace buffers are commonly used to capture data during in-system silicon debug. This paper exploits the fact that it is not necessary to capture error-free data in the trace buffe...
Joon-Sung Yang, Nur A. Touba
ATS
2010
IEEE
253views Hardware» more  ATS 2010»
13 years 2 months ago
On Signal Tracing for Debugging Speedpath-Related Electrical Errors in Post-Silicon Validation
One of the most challenging problems in post-silicon validation is to identify those errors that cause prohibitive extra delay on speedpaths in the circuit under debug (CUD) and o...
Xiao Liu, Qiang Xu
ASPDAC
2010
ACM
129views Hardware» more  ASPDAC 2010»
13 years 2 months ago
On signal tracing in post-silicon validation
It is increasingly difficult to guarantee the first silicon success for complex integrated circuit (IC) designs. Post-silicon validation has thus become an essential step in the I...
Qiang Xu, Xiao Liu
ASPDAC
2010
ACM
150views Hardware» more  ASPDAC 2010»
13 years 2 months ago
Post-silicon debugging for multi-core designs
Escaped errors in released silicon are growing in number due to the increasing complexity of modern processor designs and shrinking production schedules. Worsening the problem are ...
Valeria Bertacco