Sciweavers

DAC
2008
ACM
13 years 6 months ago
Programmable logic circuits based on ambipolar CNFET
Recently, it was demonstrated that the polarity of carbon nanotube field effect transistors can be electrically controlled. In this paper we show how Programmable Logic Arrays (PL...
M. Haykel Ben Jamaa, David Atienza, Yusuf Leblebic...
DAC
2010
ACM
13 years 8 months ago
Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement
Carbon Nanotubes (CNTs) are grown using chemical synthesis, and the exact positioning and chirality of CNTs are very difficult to control. As a result, “small-width” Carbon Na...
Jie Zhang, Shashikanth Bobba, Nishant Patil, Alber...
ISCAS
2003
IEEE
122views Hardware» more  ISCAS 2003»
13 years 10 months ago
Bulk carbon nanotube as thermal sensing and electronic circuit elements
Bulk multi-walled carbon nanotube (MWNT) were successfully and repeatably manipulated by AC electrophoresis to form resistive elements between Au microelectrodes and were demonstr...
Victor T. S. Wong, Wen J. Li
GLVLSI
2003
IEEE
140views VLSI» more  GLVLSI 2003»
13 years 10 months ago
Exploiting multiple functionality for nano-scale reconfigurable systems
It is likely that it will become increasingly difficult to manufacture the complex, heterogeneous logic structures that characterise current reconfigurable logic systems. As a res...
Paul Beckett
DAC
2006
ACM
13 years 10 months ago
Modeling and analysis of circuit performance of ballistic CNFET
With the advent of carbon nanotube technology, evaluating circuit and system performance using these devices is becoming extremely important. In this paper, we propose a quasi-ana...
Bipul C. Paul, Shinobu Fujita, Masaki Okajima, Tho...
DAC
2006
ACM
13 years 10 months ago
A high density, carbon nanotube capacitor for decoupling applications
We present a novel application for carbon nanotube devices, implementing a high density 3-D capacitor, which can be useful for decoupling applications to reduce supply voltage var...
Mark M. Budnik, Arijit Raychowdhury, Aditya Bansal...
DATE
2009
IEEE
141views Hardware» more  DATE 2009»
13 years 11 months ago
Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis
– The quest for technologies with superior device characteristics has showcased Carbon Nanotube Field Effect Transistors (CNFETs) into limelight. Among the several design aspects...
Shashikanth Bobba, Jie Zhang, Antonio Pullini, Dav...