Sciweavers

DAC
2010
ACM
13 years 8 months ago
Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement
Carbon Nanotubes (CNTs) are grown using chemical synthesis, and the exact positioning and chirality of CNTs are very difficult to control. As a result, “small-width” Carbon Na...
Jie Zhang, Shashikanth Bobba, Nishant Patil, Alber...
DATE
2010
IEEE
141views Hardware» more  DATE 2010»
13 years 9 months ago
Carbon nanotube circuits: Living with imperfections and variations
Carbon Nanotube Field-Effect Transistors (CNFETs) can potentially provide significant energy-delay-product benefits compared to silicon CMOS. However, CNFET circuits are subject t...
Jie Zhang, Nishant Patil, Albert Lin, H.-S. Philip...
DATE
2009
IEEE
140views Hardware» more  DATE 2009»
13 years 11 months ago
Imperfection-immune VLSI logic circuits using Carbon Nanotube Field Effect Transistors
Carbon Nanotube Field-Effect Transistors (CNFETs) show big promise as extensions to silicon-CMOS because: 1) Ideal CNFETs can provide significant energy and performance benefits o...
Subhasish Mitra, Jie Zhang, Nishant Patil, Hai Wei
ICCAD
2003
IEEE
204views Hardware» more  ICCAD 2003»
14 years 1 months ago
Modeling of Ballistic Carbon Nanotube Field Effect Transistors for Efficient Circuit Simulation
Carbon Nanotube Field-Effect Transistors (CNFETs) are being extensively studied as possible successors to CMOS. Novel device structures have been fabricated and device simulators ...
Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik ...
DAC
2007
ACM
14 years 5 months ago
Novel CNTFET-based Reconfigurable Logic Gate Design
This paper describes a family of novel dynamically reconfigurable logic gates based on double-gate carbon nanotube field-effect transistors which demonstrate p-type or n-type switc...
David Navarro, Frédéric Gaffiot, Ian...